1
0
mirror of https://git.FreeBSD.org/ports.git synced 2024-10-18 19:49:40 +00:00
freebsd-ports/cad
Loïc Bartoletti 8b0f15231e cad/caneda: Bump portrevision after qwt6 update
- PORTREVISION was not bumped in precedent commit

Reported by:	makc
2024-02-22 19:09:52 +01:00
..
abc
admesh cad/admesh: Moved man to share/man 2024-02-22 14:23:50 +01:00
adms
alliance MANPREFIX: eleminate its usage and move man to share/man 2024-01-08 17:30:29 +01:00
antimony
apio cad/apio: New port: Open source ecosystem for open FPGA boards 2024-01-06 00:06:40 -08:00
appcsxcad
archimedes
astk-client
astk-serveur */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
atlc cad/atlc: Sanitize MANPREFIX 2024-01-21 16:26:54 +01:00
brlcad cad/brlcad: Sanitize MANPREFIX 2024-01-21 02:01:06 +01:00
calculix */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
calculix-ccx */*: chase the upgrade of BLAS / LAPACK to 3.12.0 2024-01-01 12:49:51 +01:00
camotics
caneda cad/caneda: Bump portrevision after qwt6 update 2024-02-22 19:09:52 +01:00
cascade MANPREFIX: eliminate its usage 2024-01-12 16:11:29 +01:00
cascade-compiler
chipvault
csxcad devel/boost: bump consumers after library update 2024-02-13 17:44:22 +03:00
cura
cura-engine devel/protobuf: Update to 24.4 2023-12-15 01:03:10 +08:00
cvc
digital
dinotrace */*: Chase editors/emacs update 2024-01-27 18:33:05 -04:00
ecpprog
electric
electric-ng
fasm
fdm_materials
feappv
fidocadj
freecad */*: bump PORTREVISION after OpenMPI upgrade 2024-02-18 10:29:31 +01:00
freehdl cad/freehdl: Moved man to share/man 2024-02-22 14:23:51 +01:00
fritzing cad/fritzing: Moved man to share/man 2024-02-22 14:23:52 +01:00
gds3d
gdscpp
gdsreader cad/gdsreader: Sanitize MANPREFIX 2024-01-21 18:53:27 +01:00
gdstk cad/{,py-}gdstk: update 0.9.48 → 0.9.49 2023-12-31 00:25:19 -08:00
gdt
geda
gerbv
ghdl
gmsh */*: chase the upgrade of BLAS / LAPACK to 3.12.0 2024-01-01 12:49:51 +01:00
gnucap
gplcver
graywolf
gspiceui MANPREFIX: eliminate its usage 2024-01-12 16:11:29 +01:00
gtkwave *: Autotools manpages fix 2024-01-27 13:52:26 +03:00
horizon-eda cad/horizon-eda: Change LLCM dependency to 15 2024-01-29 07:35:25 -08:00
hs-verismith
ifcopenshell
impact
irsim cad/irsim: Moved man to share/man 2024-02-22 14:23:53 +01:00
iverilog
k40-whisperer
kicad */*: Bump consumers of x11-toolkits/gtk30 2023-12-23 18:14:14 +00:00
kicad-devel */*: Bump consumers of x11-toolkits/gtk30 2023-12-23 18:14:14 +00:00
kicad-doc
kicad-library-footprints
kicad-library-footprints-devel
kicad-library-packages3d
kicad-library-packages3d-devel
kicad-library-symbols
kicad-library-symbols-devel
kicad-library-templates
kicad-library-templates-devel
klayout */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
ktechlab
ldraw
ldview
leocad
lepton-eda cad/lepton-eda: Moved man to share/man 2024-02-22 14:23:54 +01:00
libgdsii
libopencad
librecad
libredwg Convert more of my ports to new manual page location (part two). 2024-01-23 11:32:28 +00:00
librepcb
librnd
logisim
magic cad/magic: Remove unintended parts of the patch 2024-01-23 19:32:15 -08:00
meshdev
meshlab
netgen cad/netgen: Allow build with python-3.11. 2024-01-28 22:44:43 -06:00
netgen-lvs cad/netgen-lvs: update 1.5.265 → 1.5.266 2024-02-04 00:59:23 -08:00
ngspice_rework
nvc cad/nvc: update 1.11.2 → 1.11.3 2024-02-04 23:32:45 -08:00
opencascade multimedia/libvpx: update 1.14.0 2024-01-20 01:41:39 +01:00
opencascade740
openctm
openfpgaloader
openroad cad/openroad: update 2.0-2930 → 2.0-11595 2023-12-28 20:48:01 -08:00
openscad devel/boost: bump consumers after library update 2024-02-13 17:44:22 +03:00
openscad-devel
opentimer
openvsp cad/openvsp: Remove unnecessary patch for libxml2 2023-12-22 10:53:23 +01:00
oregano cad/oregano: remove useless patch and leave manpages in share/man 2024-01-12 14:00:45 +01:00
p5-GDS2 cad/p5-GDS2: Fix build 2024-01-15 18:06:06 +01:00
p5-Verilog-Perl
padring
pcb
pcb-rnd
pdnmesh cad/pdnmesh: Moved man to share/man 2024-02-22 14:23:55 +01:00
PrusaSlicer devel/boost: bump consumers after library update 2024-02-13 17:44:22 +03:00
py-amaranth cad/py-amaranth: update 0.4.1 → 0.4.2 2024-02-17 00:10:53 -08:00
py-cocotb
py-edalize cad/py-edalize: update 0.5.1 → 0.5.3 2023-12-10 15:58:30 -08:00
py-ezdxf
py-gdspy
py-gdstk cad/{,py-}gdstk: update 0.9.48 → 0.9.49 2023-12-31 00:25:19 -08:00
py-gmsh
py-lcapy
py-phidl
py-pyfda
py-pygmsh
py-pymtl
py-pyvcd
py-vunit-hdl cad/py-vunit-hdl: Fix most tests except for 1 2024-01-02 11:07:07 -08:00
python-gdsii
qcad
qcsxcad
qelectrotech
qflow
qmls
qrouter
qspeakers
qucs-s cad/qucs-s: update 2.1.0 → 24.1.0 2024-02-19 10:52:26 -08:00
qucsator
repsnapper
rubygem-gdsii
scotch */*: bump PORTREVISION after the upgrade of MPICH to 4.1.2 2023-12-10 11:23:11 +01:00
silice
solvespace cad/solvespace: Fix build with CMake >= 3.28.0 2023-12-28 18:03:31 -05:00
sp2sp
spice
stepcode */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
stm32flash
sumo
surelog cad/surelog: update 1.81 → 1.82 2023-12-10 15:58:30 -08:00
svlint lang/rust: Bump revisions after 1.76.0 2024-02-19 12:59:23 +01:00
svls lang/rust: Bump revisions after 1.76.0 2024-02-19 12:59:23 +01:00
sweethome3d
symbiyosys cad/symbiyosys: update 0.37 → 0.38 2024-02-13 01:11:10 -08:00
tkgate cad/tkgate: Moved man to share/man 2024-02-22 14:23:56 +01:00
tochnog */*: chase the upgrade of BLAS / LAPACK to 3.12.0 2024-01-01 12:49:51 +01:00
uhdm cad/uhdm: update 1.81 → 1.82 2023-12-10 15:58:29 -08:00
uranium
verilator cad/verilator: Moved man to share/man 2024-01-29 20:54:22 +01:00
verilog-mode.el */*: Chase editors/emacs update 2024-01-27 18:33:05 -04:00
veroroute cad/veroroute: update 2.36 → 2.37 2024-02-12 23:50:48 -08:00
veryl lang/rust: Bump revisions after 1.76.0 2024-02-19 12:59:23 +01:00
xcircuit
xyce */*: bump PORTREVISION after the upgrade to SuiteSparse 2024-01-28 13:02:23 +01:00
yosys cad/yosys: update 0.37 → 0.38 2024-02-13 01:11:10 -08:00
yosys-ghdl-plugin
yosys-systemverilog
z88 */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
zcad cad/zcad: Mark BROKEN 2024-02-17 07:05:59 +01:00
Makefile cad/symbiyosys: New port: SymbiYosys (sby): Front-end for Yosys-based formal verification flows 2024-01-10 21:09:39 -08:00