1
0
mirror of https://git.FreeBSD.org/ports.git synced 2024-10-18 19:49:40 +00:00
freebsd-ports/cad
Yuri Victorovich 1efc90b23d cad/svlint: update 0.9.0 → 0.9.1
Reported by:	portscout
2023-12-19 00:20:00 -08:00
..
abc
admesh
adms
alliance
antimony
appcsxcad cad/appcsxcad: update 0.2.2-9 → 0.2.3 2023-10-23 20:10:13 -07:00
archimedes
astk-client
astk-serveur
atlc */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
brlcad cad/brlcad: Remove CC/CPP/CXX exports 2023-09-28 20:56:25 +02:00
calculix cad/calculix: Remove CC/CPP/CXX exports 2023-09-28 20:56:25 +02:00
calculix-ccx
camotics devel/re2: Update to 20230801 2023-09-11 11:22:48 +08:00
caneda
cascade */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-06 11:03:47 +01:00
cascade-compiler
chipvault */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
csxcad cad/csxcad: update 0.6.2-109 → 0.6.3 2023-10-23 20:10:12 -07:00
cura
cura-engine devel/protobuf: Update to 24.4 2023-12-15 01:03:10 +08:00
cvc
digital
dinotrace
ecpprog
electric
electric-ng
fasm
fdm_materials
feappv
fidocadj
freecad devel/libfmt: Update to 10.1.1 2023-12-14 23:49:40 +08:00
freehdl cad/freehdl: upgrade to the latest 2023-11-21 21:11:17 +01:00
fritzing
gds3d
gdscpp
gdsreader
gdstk cad/{,py-}gdstk: update 0.9.42 → 0.9.46 2023-10-15 01:28:15 -07:00
gdt
geda
gerbv
ghdl cad/ghdl: Add SYNTH option; Remove --disable-libghdl; Remove unused deps 2023-10-06 21:54:02 -07:00
gmsh */*: bump PORTREVISION after the upgrade of OpenBLAS 2023-12-12 20:03:50 +01:00
gnucap
gplcver
graywolf
gspiceui
gtkwave cad/gtkwave: Switch to gtk3 2023-11-25 22:20:06 +00:00
horizon-eda devel/libgit2: bump all ports depending on libgit2 for 1.7.1 upgrade 2023-11-13 15:10:10 +02:00
hs-verismith
ifcopenshell
impact
irsim
iverilog
jspice3 cad/jspice3: Mark DEPRECATED 2023-10-29 23:53:39 +01:00
k40-whisperer
kicad */*: Bump consumers of x11-toolkits/wxgtk32 2023-11-23 11:20:28 +00:00
kicad-devel */*: Bump consumers of x11-toolkits/wxgtk32 2023-11-23 11:20:28 +00:00
kicad-doc
kicad-library-footprints
kicad-library-footprints-devel
kicad-library-packages3d
kicad-library-packages3d-devel
kicad-library-symbols
kicad-library-symbols-devel
kicad-library-templates
kicad-library-templates-devel
klayout
ktechlab
ldraw
ldview
leocad
lepton-eda
libgdsii
libopencad
librecad
libredwg
librepcb cad/librepcb: update 0.1.6 → 1.0.0 2023-10-31 13:30:17 -07:00
librnd cad/librnd: use a cleaner way to unbreak the build on recent -CURRENT 2023-09-06 14:55:20 +00:00
logisim
magic
meshdev */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
meshlab
netgen cad/netgen: Update to 6.2.2305 2023-10-25 05:20:02 +00:00
netgen-lvs cad/netgen-lvs: update 1.5.262 → 1.5.264 2023-12-04 23:27:28 -08:00
ngspice_rework cad/ngspice_rework: update to v41 2023-09-10 15:10:40 -05:00
nvc cad/nvc: update 1.11.0 → 1.11.1 2023-12-17 20:10:03 -08:00
opencascade cad/opencascade: fix location of cmake files 2023-11-05 14:07:18 +01:00
opencascade740
openctm
openfpgaloader
openroad cad/openroad: Mark BROKEN with libfmt 10+ 2023-12-14 23:49:39 +08:00
openscad devel/boost*: bump all consumers after 1.83.0 2023-09-27 17:36:30 +03:00
openscad-devel
opentimer cad/opentimer: Remove the USE_GCC commented out line 2023-09-18 22:26:46 -07:00
openvsp cad/openvsp: update to 3.36.0 2023-10-30 13:42:20 +01:00
oregano
p5-GDS2
p5-Verilog-Perl
padring
pcb
pcb-rnd cad/pcb-rnd: chase commit 512d100d03 (cad/librnd) 2023-09-07 03:27:46 +00:00
pdnmesh */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
PrusaSlicer devel/onetbb: Update to 2021.11.0 2023-11-28 12:35:02 +01:00
py-amaranth
py-cocotb cad/py-cocotb: update 1.8.0 → 1.8.1 2023-10-09 21:13:54 -07:00
py-edalize cad/py-edalize: update 0.5.1 → 0.5.3 2023-12-10 15:58:30 -08:00
py-ezdxf
py-gdspy
py-gdstk cad/{,py-}gdstk: update 0.9.42 → 0.9.46 2023-10-15 01:28:15 -07:00
py-gmsh
py-lcapy
py-phidl
py-pyfda Revert "cad/py-pyfda: Mark DEPRECATED" 2023-10-29 16:37:05 -04:00
py-pygmsh
py-pymtl cad/py-pymtl: update 3.1.15 → 3.1.16 2023-11-03 20:09:16 -07:00
py-pyvcd
py-vunit-hdl
python-gdsii
qcad cad/qcad: remove unnecessary dependency on QtWebkit 2023-09-13 13:16:12 +02:00
qcsxcad cad/qcsxcad: update 0.6.2-9 → 0.6.3 2023-10-23 20:10:13 -07:00
qelectrotech
qflow
qmls
qrouter cad/qrouter: update 1.4.86 → 1.4.87 2023-11-05 15:07:53 -08:00
qspeakers
qucs-s cad/qucs-s: Fix build with Qt 6.6.x 2023-11-21 19:39:22 -05:00
qucsator
repsnapper
rubygem-gdsii
scotch */*: bump PORTREVISION after the upgrade of MPICH to 4.1.2 2023-12-10 11:23:11 +01:00
silice
solvespace
sp2sp */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-06 11:03:47 +01:00
spice
stepcode cad/stepcode: update to 0.8.2 2023-10-03 09:17:00 +02:00
stm32flash
sumo
surelog cad/surelog: update 1.81 → 1.82 2023-12-10 15:58:30 -08:00
svlint cad/svlint: update 0.9.0 → 0.9.1 2023-12-19 00:20:00 -08:00
svls cad/svls: update 0.2.9 → 0.2.10 2023-12-19 00:06:16 -08:00
sweethome3d
tkgate
tochnog */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
uhdm cad/uhdm: update 1.81 → 1.82 2023-12-10 15:58:29 -08:00
uranium *: Replace USES=pycryptography* with USE_PYTHON=cryptography{,_build,_test} 2023-09-29 22:19:56 +08:00
verilator devel/systemc: update 2.3.4 → 3.0.0 2023-12-05 09:19:25 -08:00
verilog-mode.el
veroroute
veryl lang/rust: Bump revisions after 1.74.1 2023-12-08 16:04:09 +01:00
xcircuit */*: Bump PORTREVISION for Ghostscript 10 change 2023-11-03 08:17:38 +01:00
xyce cad/xyce: update 7.7.0 → 7.8.0 2023-12-15 10:29:59 -08:00
yosys cad/yosys: update 0.35 → 0.36 2023-12-06 00:34:58 -08:00
yosys-ghdl-plugin cad/yosys-ghdl-plugin: Add new port 2023-10-19 03:07:29 -04:00
yosys-systemverilog
z88
zcad lang/fpc-*: Merge all units to lang/fpc 2023-11-12 15:49:26 -05:00
Makefile cad/freehdl: resurrect 2023-11-21 21:09:59 +01:00