1
0
mirror of https://git.FreeBSD.org/ports.git synced 2024-10-19 19:59:43 +00:00
freebsd-ports/cad
Po-Chuan Hsieh 10d211b655
devel/protobuf: Update to 3.20.0
- Bump PORTREVISION of dependent ports for shlib change

Changes:	https://github.com/protocolbuffers/protobuf/releases
2022-04-16 12:19:07 +08:00
..
abc
admesh
adms
alliance cad/alliance: Mark BROKEN 2022-02-18 23:35:53 -06:00
appcsxcad
archimedes
astk-client
astk-serveur cleanup: drop support for EOL FreeBSD 11.X 2021-09-30 23:23:30 +02:00
atlc
basicdsp
brlcad
calculix cad/calculix{-ccx}: new maintainer. 2022-02-20 13:51:01 -05:00
calculix-ccx cad/calculix{-ccx}: new maintainer. 2022-02-20 13:51:01 -05:00
caneda
cascade Fix CONFLICTS entries of multiple ports 2022-01-10 16:15:39 +01:00
cascade-compiler Fix CONFLICTS entries of multiple ports 2022-01-10 16:15:39 +01:00
chipvault
csxcad
cura devel/py-qt5, devel/py-sip: Update versions 2022-03-07 18:39:13 +01:00
cura-engine devel/protobuf: Update to 3.20.0 2022-04-16 12:19:07 +08:00
cvc cad/cvc: New port: Circuit Validity Checker 2021-12-28 23:37:20 -08:00
digital
dinotrace Emacs ports: Bump PORTREVISION after Emacs 28.1 2022-04-07 19:12:44 -03:00
ecpprog
electric
electric-ng
fasm Fix CONFLICTS entries of multiple ports 2022-01-10 16:15:39 +01:00
fdm_materials
feappv
fidocadj
freecad cad/freecad: Add CPE information 2022-03-18 13:23:46 +00:00
freehdl
fritzing INSTALLS_ICONS: retire the macro and rework the related dependencies 2021-10-10 21:44:42 +02:00
gds3d cad/gds3d: fix build on powerpc 2021-11-16 12:03:45 +00:00
gdsreader
gdt
geda */*: Remove redundant '-[0-9]*' from CONFLICTS 2021-10-29 11:50:18 +02:00
gerbv cad/gerbv: Add CPE information 2022-03-18 13:23:47 +00:00
gmsh cad/gmsh: Update to 4.9.2 2021-12-26 12:10:15 +08:00
gnucap
gplcver
graywolf math/gsl: bump portrevision of consumers after update to 2.7.1 2022-01-12 20:41:22 +01:00
gspiceui
gtkwave cad/gtkwave: Update 3.3.107 -> 3.3.111 2022-03-24 09:35:05 -07:00
horizon-eda textproc/libxml2: bump all LIB_DEPENDS consumers 2022-04-10 15:11:41 -04:00
ifcopenshell textproc/libxml2: bump all LIB_DEPENDS consumers 2022-04-10 15:11:41 -04:00
impact
irsim
iverilog
jspice3 */*: Remove redundant '-[0-9]*' from CONFLICTS 2021-10-29 11:50:18 +02:00
k40-whisperer cad/k40-whisperer: Update to 0.59 2022-01-23 22:54:07 +01:00
kicad cad/kicad: update to 6.0.4 2022-03-19 13:54:03 +01:00
kicad-devel cad/kicad-devel: Update 2022-03-20 16:14:01 +01:00
kicad-doc cad/kicad: update to 6.0.4 2022-03-19 13:54:03 +01:00
kicad-library-footprints cad/kicad: update to 6.0.4 2022-03-19 13:54:03 +01:00
kicad-library-footprints-devel cad/kicad-*-devel: Update 2022-03-06 11:38:05 +01:00
kicad-library-packages3d cad/kicad: update to 6.0.4 2022-03-19 13:54:03 +01:00
kicad-library-packages3d-devel cad/kicad-*-devel: Update 2022-03-06 11:38:05 +01:00
kicad-library-symbols cad/kicad: update to 6.0.4 2022-03-19 13:54:03 +01:00
kicad-library-symbols-devel cad/kicad-*-devel: Update 2022-03-06 11:38:05 +01:00
kicad-library-templates cad/kicad: update to 6.0.4 2022-03-19 13:54:03 +01:00
kicad-library-templates-devel cad/kicad-*-devel: Update 2022-03-06 11:38:05 +01:00
klayout cad/klayout: Fix build with Ruby 3.x 2022-03-29 16:29:13 +09:00
ktechlab
ldraw cad/ldraw: Update 20201229 -> 20220211 2022-02-11 09:11:26 -08:00
ldview cad/ldview: Update 4.4 -> 4.4.1 2022-02-11 09:11:26 -08:00
leocad
lepton-eda cad/lepton-eda: Update to 1.9.17 2021-12-29 17:07:55 -05:00
libopencad cad/libopencad: Add CPE information 2021-10-14 12:31:57 +02:00
librecad cad/librecad: Update to 2.2.0-rc3 2022-02-25 00:06:02 -05:00
libredwg cad/libredwg: Add CPE information 2021-09-25 20:28:39 +00:00
librepcb cad/librepcb: Fix translations 2021-11-19 13:33:20 -08:00
linux-eagle5
logisim
magic cad/magic: Maintainer reset, take maintainership 2021-12-28 10:17:28 -08:00
meshdev
meshlab
NASTRAN-95
netgen cad/netgen: Update to 6.2.2202. 2022-03-11 11:59:11 -06:00
netgen-lvs Update CONFLICTS definitions in categories a* to c* 2022-02-06 19:36:07 +01:00
ngspice_rework cad/ngspice_rework: fix build for shlib flavor 2022-01-05 11:39:23 +09:00
nvc cad/nvc: Update 1.6.1 -> 1.6.2 2022-04-14 07:20:35 -07:00
opencascade textproc/libxml2: bump all LIB_DEPENDS consumers 2022-04-10 15:11:41 -04:00
opencascade740 cad/opencascade740: Remove TBB dependency 2022-02-26 11:30:03 -08:00
openctm
openfpgaloader cad/openfpgaloader: Update 0.7.0 -> 0.8.0 2022-03-19 16:29:22 -07:00
openroad cad/openroad: Update 2.0 -> 2.0-2930 2022-02-10 01:13:04 -08:00
openscad textproc/libxml2: bump all LIB_DEPENDS consumers 2022-04-10 15:11:41 -04:00
openscad-devel textproc/libxml2: bump all LIB_DEPENDS consumers 2022-04-10 15:11:41 -04:00
opentimer cad/opentimer: mark broken on powerpc 2021-10-06 20:52:26 +00:00
openvsp textproc/libxml2: bump all LIB_DEPENDS consumers 2022-04-10 15:11:41 -04:00
oregano textproc/libxml2: bump all LIB_DEPENDS consumers 2022-04-10 15:11:41 -04:00
p5-GDS2
p5-Verilog-Perl cad/p5-Verilog-Perl: update to 3.478 2021-12-23 19:33:28 +09:00
padring cad/padring: New port: Padring generator for ASICs 2021-12-29 22:15:37 -08:00
pcb */*: Remove redundant '-[0-9]*' from CONFLICTS 2021-10-29 11:50:18 +02:00
pdnmesh
PrusaSlicer cad/PrusaSlicer: Fix build when math/qhull is present. 2022-01-15 11:47:08 -06:00
py-cadquery
py-cq-editor devel/py-qt5, devel/py-sip: Update versions 2022-03-07 18:39:13 +01:00
py-ezdxf
py-gdspy cad/py-gdspy: Update to 1.6.11 2022-03-07 14:08:25 +08:00
py-lcapy
py-ocp cad/py-ocp: Revert update to 7.5.2 to unbreak the ports tree 2021-10-29 18:21:42 +00:00
py-phidl
py-pyfda devel/py-qt5, devel/py-sip: Update versions 2022-03-07 18:39:13 +01:00
py-pymtl cad/py-pymtl: Update 3.1.8 -> 3.1.9 2022-02-21 09:08:41 -08:00
python-gdsii *: fix tab vs. space issues, and comments according to the guide. 2021-10-16 11:51:39 +02:00
qcad *: fix tab vs. space issues, and comments according to the guide. 2021-10-16 11:51:39 +02:00
qcsxcad
qelectrotech *: fix tab vs. space issues, and comments according to the guide. 2021-10-16 11:51:39 +02:00
qflow cad/qflow: Update 1.4.97 -> 1.4.98 2021-10-07 11:43:14 -07:00
qmls
qrouter cad/qrouter: Update 1.4.84 -> 1.4.85 2021-11-14 21:18:52 -08:00
repsnapper textproc/libxml2: bump all LIB_DEPENDS consumers 2022-04-10 15:11:41 -04:00
rubygem-gdsii
scotch Update CONFLICTS definitions in categories a* to c* 2022-02-06 19:36:07 +01:00
solvespace textproc/libxml2: bump all LIB_DEPENDS consumers 2022-04-10 15:11:41 -04:00
sp2sp */*: fix trailing whitespace in pkg-descr's 2022-04-01 18:09:49 +03:00
spice
stepcode cleanup: drop support for EOL FreeBSD 11.X 2021-09-30 23:23:30 +02:00
stm32flash
sumo */*: fix trailing whitespace in pkg-descr's 2022-04-01 18:09:49 +03:00
surelog Update CONFLICTS definitions in categories a* to c* 2022-02-06 19:36:07 +01:00
sweethome3d cad/sweethome3d: update Sweet Home 3D to version 6.6.4. 2021-12-13 13:17:30 +00:00
tkgate
tochnog
uhdm Update CONFLICTS definitions in categories a* to c* 2022-02-06 19:36:07 +01:00
uranium devel/py-qt5, devel/py-sip: Update versions 2022-03-07 18:39:13 +01:00
verilator cad/verilator: Update 4.218 -> 4.220 2022-03-13 12:53:45 -07:00
verilog-mode.el Emacs ports: Bump PORTREVISION after Emacs 28.1 2022-04-07 19:12:44 -03:00
veroroute cad/veroroute: Update 2.19 -> 2.20 2022-03-26 19:40:08 -07:00
xcircuit
yosys cad/yosys: Update 0.15 -> 0.16 2022-04-08 00:46:03 -07:00
z88
zcad editors/lazarus-*: update to 2.2.0 2022-02-03 00:07:13 -05:00
Makefile cleanup: Remove ports depending on expired lang/gcc6-aux 2022-02-28 22:34:25 +01:00