1
0
mirror of https://git.FreeBSD.org/ports.git synced 2024-10-18 19:49:40 +00:00
freebsd-ports/cad
2023-07-01 18:11:11 +02:00
..
abc
admesh
adms
alliance Mk/Uses/magick.mk: Bump all consumers 2023-04-30 13:10:42 +02:00
antimony
appcsxcad math/vtk9: Update 9.2.2 → 9.2.6 2023-06-23 21:51:29 -07:00
archimedes
astk-client
astk-serveur all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
atlc
brlcad cad/brlcad: Fix pkg-plist 2023-06-20 18:04:46 +08:00
calculix */*: llvm15 build fixes 2023-05-17 18:30:15 +02:00
calculix-ccx
camotics devel/re2: Update to 20230301 2023-05-03 03:58:21 +08:00
caneda
cascade
cascade-compiler
chipvault
csxcad math/vtk9: Update 9.2.2 → 9.2.6 2023-06-23 21:51:29 -07:00
cura all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
cura-engine
cvc cad/cvc: Update 1.1.4 → 1.1.5 2023-05-10 07:33:01 -07:00
digital
dinotrace
ecpprog
electric
electric-ng
fasm
fdm_materials
feappv
fidocadj
freecad all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
freehdl
fritzing
gds3d
gdscpp
gdsreader
gdt
geda
gerbv
ghdl
gmsh */*: bump PORTREVISION to chase the upgrade of CGNS 2023-06-18 11:01:02 +02:00
gnucap
gplcver
graywolf
gspiceui
gtkwave
horizon-eda cad/horizon-eda: Update 2.4.0 → 2.5.0 2023-06-19 00:48:59 -07:00
hs-verismith Haskell ports: Fix dependency on GHC 9.2 after its upgrade. 2023-06-07 22:01:45 +03:00
ifcopenshell all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
impact
irsim
iverilog
jspice3
k40-whisperer all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
kicad all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
kicad-devel all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
kicad-doc audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-footprints audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-footprints-devel cad/kicad-library-*-devel: Update to the latest versions 2023-06-22 17:39:28 +02:00
kicad-library-packages3d audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-packages3d-devel cad/kicad-library-*-devel: Update to the latest versions 2023-06-22 17:39:28 +02:00
kicad-library-symbols audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-symbols-devel cad/kicad-library-*-devel: Update to the latest versions 2023-06-22 17:39:28 +02:00
kicad-library-templates audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-templates-devel cad/kicad-library-*-devel: Update to the latest versions 2023-06-22 17:39:28 +02:00
klayout
ktechlab audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
ldraw
ldview cad/ldview: Update 4.4.1 → 4.5 2023-04-24 21:55:37 -07:00
leocad
lepton-eda
libgdsii
libopencad
librecad
libredwg all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
librepcb
librnd cad/librnd: upgrade to 4.0.1 2023-06-02 00:29:22 +02:00
logisim
magic all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
meshdev
meshlab
netgen cad/netgen: Update to 6.2.2303. 2023-06-30 13:37:34 -05:00
netgen-lvs all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
ngspice_rework
nvc cad/nvc: Update 1.9.1 → 1.9.2 2023-05-03 02:08:10 -07:00
opencascade math/vtk9: Update 9.2.2 → 9.2.6 2023-06-23 21:51:29 -07:00
opencascade740 */*: Bump all users of multimedia/ffmpeg* 2023-04-27 14:01:46 +02:00
openctm
openfpgaloader
openroad all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
openscad */*: bump all direct Boost cunsumers 2023-04-27 21:25:55 +03:00
openscad-devel
opentimer
openvsp cad/openvsp: update to 3.34.0 2023-06-07 10:12:43 +02:00
oregano
p5-GDS2
p5-Verilog-Perl
padring
pcb
pcb-rnd cad/pcb-rnd: Update to 3.1.1 2023-06-17 16:16:59 +08:00
pdnmesh
PrusaSlicer *: bump PORTREVISION of Imath users 2023-06-02 23:40:45 +02:00
py-cadquery all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-cocotb all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-cq-editor all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-edalize all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-ezdxf all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-gdspy all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-gmsh all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-lcapy all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-ocp all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-phidl all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pyfda all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pygmsh all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pymtl all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-vunit-hdl all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
python-gdsii all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
qcad cad/qcad: Update to 3.28.1.0 2023-06-22 15:59:11 +02:00
qcsxcad math/vtk9: Update 9.2.2 → 9.2.6 2023-06-23 21:51:29 -07:00
qelectrotech
qflow
qmls
qrouter
qspeakers cad/qspeakers: Add new port 2023-06-21 10:04:29 +02:00
qucs-s
qucsator
repsnapper
rubygem-gdsii
scotch
silice
solvespace cad/solvespace: drop unused variable after 2c672a4de9 2023-05-21 01:03:56 +00:00
sp2sp
spice
stepcode
stm32flash
sumo graphics/gdal: Update to 3.7.0 2023-05-27 12:08:05 +08:00
surelog cad/surelog: Update 1.64 → 1.66 2023-06-16 23:55:07 -07:00
svlint cad/svlint: Update 0.7.2 → 0.8.0 2023-06-27 00:46:42 -07:00
svls lang/rust: Bump revisions after 1.70.0 2023-06-09 13:38:16 +02:00
sweethome3d
tkgate
tochnog
uhdm cad/uhdm: Update 1.64 → 1.66 2023-06-16 23:55:06 -07:00
uranium cad/uranium: Fix double PORTREVISION 2023-06-30 13:29:55 +02:00
verilator cad/verilator: Enable MAKE_JOBS_UNSAFE because the build is killed otherwise 2023-06-18 22:40:34 -07:00
verilog-mode.el
veroroute cad/veroroute: Update 2.31 → 2.36 2023-06-04 14:27:28 -07:00
veryl lang/rust: Bump revisions after 1.70.0 2023-06-09 13:38:16 +02:00
xcircuit
xyce */*: bump PORTREVISION after the upgrade of SuiteSparse 2023-07-01 18:11:11 +02:00
yosys all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
yosys-systemverilog cad/yosys-systemverilog: Add PORTSCOUT tag 2023-06-18 18:38:52 -07:00
z88
zcad
Makefile cad/qspeakers: Add new port 2023-06-21 10:04:29 +02:00