1
0
mirror of https://git.FreeBSD.org/ports.git synced 2024-10-18 19:49:40 +00:00
freebsd-ports/cad
Yuri Victorovich fe121fc147 cad/py-amaranth: update 0.4.2 → 0.4.4
Reported by:	portscout
2024-03-15 23:33:03 -07:00
..
abc
admesh cad/admesh: Moved man to share/man 2024-02-22 14:23:50 +01:00
adms
alliance MANPREFIX: eleminate its usage and move man to share/man 2024-01-08 17:30:29 +01:00
antimony
apio cad/apio: New port: Open source ecosystem for open FPGA boards 2024-01-06 00:06:40 -08:00
appcsxcad cad/appcsxcad: update 0.2.2-9 → 0.2.3 2023-10-23 20:10:13 -07:00
archimedes
astk-client
astk-serveur */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
atlc cad/atlc: Sanitize MANPREFIX 2024-01-21 16:26:54 +01:00
brlcad cad/brlcad: Sanitize MANPREFIX 2024-01-21 02:01:06 +01:00
calculix */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
calculix-ccx */*: chase the upgrade of BLAS / LAPACK to 3.12.0 2024-01-01 12:49:51 +01:00
camotics devel/re2: Update to 20230801 2023-09-11 11:22:48 +08:00
caneda cad/caneda: Bump portrevision after qwt6 update 2024-02-22 19:09:52 +01:00
cascade MANPREFIX: eliminate its usage 2024-01-12 16:11:29 +01:00
cascade-compiler
chipvault */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
csxcad devel/boost: bump consumers after library update 2024-02-13 17:44:22 +03:00
cura all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
cura-engine devel/protobuf: Update to 24.4 2023-12-15 01:03:10 +08:00
cvc
digital */*: properly depend on Maven package 2024-03-11 10:09:45 +01:00
dinotrace */*: Chase editors/emacs update 2024-01-27 18:33:05 -04:00
ecpprog
electric cad/electric: Fix build with llvm16 2023-07-26 17:04:03 +02:00
electric-ng
fasm
fdm_materials
feappv
fidocadj
freecad */*: bump PORTREVISION after OpenMPI upgrade 2024-02-18 10:29:31 +01:00
freehdl cad/freehdl: Moved man to share/man 2024-02-22 14:23:51 +01:00
fritzing cad/fritzing: Moved man to share/man 2024-02-22 14:23:52 +01:00
gds3d cad/gds3d: Fix build breakaga on 14 due to the 'byte' symbol collision 2023-07-18 07:21:39 -07:00
gdscpp
gdsreader cad/gdsreader: Sanitize MANPREFIX 2024-01-21 18:53:27 +01:00
gdstk cad/gdstk: update 0.9.49 → 0.9.50 2024-03-08 14:34:42 -08:00
gdt
geda cad/geda: Move man pages to share/man 2024-03-02 23:32:29 +00:00
gerbv cad/gerbv: Move man to share/man 2024-02-26 20:26:59 +01:00
ghdl cad/ghdl: update 3.0.0 → 4.0.0 2024-03-07 01:48:06 -08:00
gmsh */*: chase the upgrade of BLAS / LAPACK to 3.12.0 2024-01-01 12:49:51 +01:00
gnucap
gplcver
graywolf
gspiceui MANPREFIX: eliminate its usage 2024-01-12 16:11:29 +01:00
gtkwave *: Autotools manpages fix 2024-01-27 13:52:26 +03:00
horizon-eda cad/horizon-eda: Change LLCM dependency to 15 2024-01-29 07:35:25 -08:00
hs-verismith Haskell ports: Fix dependency on GHC 9.2 after its upgrade. 2023-06-07 22:01:45 +03:00
ifcopenshell all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
impact
irsim cad/irsim: Moved man to share/man 2024-02-22 14:23:53 +01:00
iverilog cad/iverilog: Move man pages to share/man 2024-03-02 23:32:29 +00:00
k40-whisperer all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
kicad */*: Bump consumers of x11-toolkits/gtk30 2023-12-23 18:14:14 +00:00
kicad-devel */*: Bump consumers of x11-toolkits/gtk30 2023-12-23 18:14:14 +00:00
kicad-doc
kicad-library-footprints
kicad-library-footprints-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-library-packages3d
kicad-library-packages3d-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-library-symbols
kicad-library-symbols-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
kicad-library-templates
kicad-library-templates-devel bsd.sites.mk: Update all ports using USE_GITLAB 2023-07-12 20:56:04 +02:00
klayout */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
ktechlab devel/kf5-extra-cmake-modules: add as build dependency 2023-08-15 23:17:14 +02:00
ldraw
ldview cad/ldview: Fix build with llvm16 2023-08-05 13:53:19 +02:00
leocad cad/leocad: Move man pages to share/man 2024-03-02 23:32:29 +00:00
lepton-eda cad/lepton-eda: Moved man to share/man 2024-02-22 14:23:54 +01:00
libgdsii
libopencad
librecad cad/librecad: Update to 2.2.0.2 2023-07-30 16:15:29 -04:00
libredwg Convert more of my ports to new manual page location (part two). 2024-01-23 11:32:28 +00:00
librepcb cad/librepcb: update 0.1.6 → 1.0.0 2023-10-31 13:30:17 -07:00
librnd cad/librnd: use a cleaner way to unbreak the build on recent -CURRENT 2023-09-06 14:55:20 +00:00
logisim
magic cad/magic: Remove unintended parts of the patch 2024-01-23 19:32:15 -08:00
meshdev */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
meshlab cad/meshlab: Fix build with llvm16 2023-07-21 17:41:46 +02:00
netgen cad/netgen: Update to 6.2.2402. 2024-03-06 21:39:46 -06:00
netgen-lvs cad/netgen-lvs: update 1.5.266 → 1.5.272 2024-03-08 14:34:43 -08:00
ngspice_rework cad/ngspice_rework: Move man pages to share/man 2024-03-02 23:32:29 +00:00
nvc cad/nvc: Move man pages to share/man 2024-03-02 23:37:53 +00:00
opencascade multimedia/libvpx: update 1.14.0 2024-01-20 01:41:39 +01:00
opencascade740
openctm cad/openctm: Fix man path 2024-03-02 10:34:08 -05:00
openfpgaloader cad/openfpgaloader: update 0.11.0 → 0.12.0 2024-03-09 23:35:56 -08:00
openroad cad/openroad: update 2.0-2930 → 2.0-11595 2023-12-28 20:48:01 -08:00
openscad devel/boost: bump consumers after library update 2024-02-13 17:44:22 +03:00
openscad-devel
opentimer cad/opentimer: Remove the USE_GCC commented out line 2023-09-18 22:26:46 -07:00
openvsp cad/openvsp: update to 3.37.0 2024-03-06 17:49:07 +01:00
oregano cad/oregano: remove useless patch and leave manpages in share/man 2024-01-12 14:00:45 +01:00
p5-GDS2 cad/p5-GDS2: Fix build 2024-01-15 18:06:06 +01:00
p5-Verilog-Perl
padring
pcb cad/pcb: Move man pages to share/man 2024-03-02 23:44:56 +00:00
pcb-rnd cad/pcb-rnd: chase commit 512d100d03 (cad/librnd) 2023-09-07 03:27:46 +00:00
pdnmesh cad/pdnmesh: Moved man to share/man 2024-02-22 14:23:55 +01:00
PrusaSlicer cad/PrusaSlicer: Mark BROKEN 2024-03-03 20:26:10 +01:00
py-amaranth cad/py-amaranth: update 0.4.2 → 0.4.4 2024-03-15 23:33:03 -07:00
py-cocotb cad/py-cocotb: update 1.8.0 → 1.8.1 2023-10-09 21:13:54 -07:00
py-edalize devel/py-setuptools-scm: update to 8.0.4 2024-02-29 02:18:32 -05:00
py-ezdxf cad/py-ezdxf: Add NO_ARCH 2024-03-10 03:10:02 +08:00
py-gdspy all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-gdstk cad/{,py-}gdstk: update 0.9.48 → 0.9.49 2023-12-31 00:25:19 -08:00
py-gmsh cad/py-gmsh: update 4.11.1 → 4.13.0 2024-03-09 23:35:53 -08:00
py-lcapy all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-phidl all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pyfda Revert "cad/py-pyfda: Mark DEPRECATED" 2023-10-29 16:37:05 -04:00
py-pygmsh all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pymtl cad/py-pymtl: update 3.1.15 → 3.1.16 2023-11-03 20:09:16 -07:00
py-pyvcd devel/py-setuptools-scm: update to 8.0.4 2024-02-29 02:18:32 -05:00
py-vunit-hdl devel/py-setuptools-scm: update to 8.0.4 2024-02-29 02:18:32 -05:00
python-gdsii all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
qcad cad/qcad: remove unnecessary dependency on QtWebkit 2023-09-13 13:16:12 +02:00
qcsxcad cad/qcsxcad: update 0.6.2-9 → 0.6.3 2023-10-23 20:10:13 -07:00
qelectrotech
qflow cad/qflow: update 1.4.100 → 1.4.102 2024-03-08 14:34:43 -08:00
qmls
qrouter cad/qrouter: update 1.4.86 → 1.4.87 2023-11-05 15:07:53 -08:00
qspeakers cad/qspeakers: Update to upstream release 1.6.8 2023-07-14 15:21:54 +02:00
qucs-s cad/qucs-s: update 2.1.0 → 24.1.0 2024-02-19 10:52:26 -08:00
qucsator
repsnapper
rubygem-gdsii
scotch */*: bump PORTREVISION after the upgrade of MPICH to 4.1.2 2023-12-10 11:23:11 +01:00
silice
solvespace cad/solvespace: Fix build with CMake >= 3.28.0 2023-12-28 18:03:31 -05:00
sp2sp */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-06 11:03:47 +01:00
spice cad/spice: Move man pages to share/man 2024-03-02 23:54:43 +00:00
stepcode */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
stm32flash
sumo */*: Bump jpeg-turbo users treewide 2023-07-28 19:13:17 +02:00
surelog cad/surelog: update 1.81 → 1.82 2023-12-10 15:58:30 -08:00
svlint lang/rust: Bump revisions after 1.76.0 2024-02-19 12:59:23 +01:00
svls lang/rust: Bump revisions after 1.76.0 2024-02-19 12:59:23 +01:00
sweethome3d
symbiyosys cad/symbiyosys: update 0.38 → 0.39 2024-03-12 23:17:06 -07:00
tkgate cad/tkgate: Moved man to share/man 2024-02-22 14:23:56 +01:00
tochnog */*: chase the upgrade of BLAS / LAPACK to 3.12.0 2024-01-01 12:49:51 +01:00
uhdm cad/uhdm: update 1.81 → 1.82 2023-12-10 15:58:29 -08:00
uranium *: Replace USES=pycryptography* with USE_PYTHON=cryptography{,_build,_test} 2023-09-29 22:19:56 +08:00
verilator cad/verilator: update 5.020 → 5.022 2024-02-25 00:04:06 -08:00
verilog-mode.el */*: Chase editors/emacs update 2024-01-27 18:33:05 -04:00
veroroute cad/veroroute: update 2.37 → 2.38 2024-03-08 14:34:44 -08:00
veryl cad/veryl: update 0.7.2 → 0.8.1 2024-03-15 23:33:02 -07:00
xcircuit cad/xcircuit: Move man pages to share/man 2024-03-03 00:05:21 +00:00
xyce science/trilinos: update 13-4-1 → 15-1-0 2024-03-06 02:32:59 -08:00
yosys cad/yosys: update 0.38 → 0.39 2024-03-12 23:17:06 -07:00
yosys-ghdl-plugin cad/yosys-ghdl-plugin: Add new port 2023-10-19 03:07:29 -04:00
yosys-systemverilog cad/yosys-systemverilog: Broken 2023-07-28 23:39:15 -07:00
z88 */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
zcad cad/zcad: Mark BROKEN 2024-02-17 07:05:59 +01:00
Makefile cad/symbiyosys: New port: SymbiYosys (sby): Front-end for Yosys-based formal verification flows 2024-01-10 21:09:39 -08:00